Добавил:
Опубликованный материал нарушает ваши авторские права? Сообщите нам.
Вуз: Предмет: Файл:
Автоматизация проектирования радиоэлектронных устройств связи..pdf
Скачиваний:
12
Добавлен:
15.11.2022
Размер:
5.53 Mб
Скачать

Компромиссным и наиболее оптимальным представляется подход заключающийся в ручной «доводке» синтезированных проектов. Следуе: отметить, что непрерывное совершенствование качества выходного код; компиляторов VHDL, происходящее в настоящее время, позволит полу чать достаточно качественные крупные проекты при приемлемых затрата? времени.

Немаловажное значение на качество проектирования влияет оптими зация исходного поведенческого или потокового описания. Так, например введение неоправданно большого числа дополнительных (промежуточ­ ных) сигналов и переменных способно привести к совершенно неработо­ способному проекту.

3.2.2. Верификация

При проектировании сложных специализированных СБИС верифи­ кация играет настолько важную роль, что большинство маршрутов проек­ тирования содержат операции проверки практически после каждой про­ ектной процедуры.

Любой стиль описания в VHDL дает возможность выполнять моде­ лирование ВС. Оценка значений сигналов, а также выполнение операторов утверждения (assertion_statement) позволяют судить о наличии ошибок в проекте и работоспособности устройства. Приведем перечень проверок, которые можно выполнить, используя VHDL описания ВС:

-при моделировании исходного описания (любого стиля) в первую очередь выявляются ошибки ввода;

-при непосредственной «ручной» разработке структурного вида моделирование позволяет выявить ошибочные и неразведенные связи, ошибки структурной схемы, допущенные разработчиком и т.п.;

- при

моделировании

исходного

высокоуровневого поведенческо­

го

или потокового

описания

ВС проверяется на соответствие за­

данной функции;

 

 

-системы, генерирующие структурное представление схемы на основе

ееповеденческого (или потокового) описания, проверяют соответст­ вие выполняемой функции синтезированного устройства функции исходного описания;

-в некоторых САПР проводится сравнение между структурной схе­ мой, полученной экстракцией из реальной топологии, со структур­ ным описанием, на основе которого была получена топология.

3.2.3.Сопровождение и модификация проектов

Внастоящее время VHDL используется для работы с ВС всех уров­ ней сложности. Различные этапы проекта могут выполняться отдельными группами разработчиков. Поведенческое и потоковое описания устройства могут служить коммерческим результатом проекта. Эти данные после пе­ редачи заказчику могут быть использованы для дальнейшей проработки и структурной конкретизации проекта.

Необходимость модификации проектов может возникнуть как на этапе проектирования (в результате выявления ошибок разработчика и ошибок САПР), так и в случае изменения требований технического за­ дания.

Наиболее просто вносить модификации в разработку при использо­ вании нисходящего проектирования. В этом случае достаточно изменить соответствующие исходные параметры в поведенческом или потоковом описании. Окончательный проект создается, как правило, в автоматиче­ ском режиме.

Более сложно модифицировать проект при использовании восходя­ щей методологии. Однако строгая модульная организация иерархии проек­ та, предлагаемая структурным стилем, облегчит выполнение этой задачи.

3.3. Особенности проектирования РЭУ в САПР MAX+PLUS П

САПР MAX+PLUS II предоставляет обширные возможности для создания иерархических проектов высокой сложности. При этом обеспечи­ вается сквозной процесс проектирования от технического задания до ве­ рификации проекта и конфигурирования ПЛИС.

3.3.1. Типичная процедура проектирования

Типичная процедура проектирования в рамках пакета MAX+PLUS II приведена на рис. 3.1. Отметим, что на рис. 3.1 указаны также приложения пакета, задействованные на каждом из этапов проектирования.

Рис. 3.1. Типичная процедура проектирования ПЛИС